1
0
mirror of https://github.com/alecthomas/chroma.git synced 2025-02-15 13:33:12 +02:00
chroma/lexers/testdata/systemverilog.actual
Kaushal Modi c67f8fee79 Support SystemVerilog DPI and DPI-C import statements
Ref: Section "35.5.4 Import declarations" from SystemVerilog standard
IEEE 1800-2017.
2019-03-06 08:48:01 +11:00

12 lines
117 B
Plaintext

// Comment
program top;
import "DPI-C" hello=task hello();
initial begin
hello();
end
endprogram : top